Ring Light Spot Adjustable Signal Combiner with High Power and Large Core Diameter
Zhiqiang Wan, Aibi Yang, Guanghua Yang, Shan Yu, Ziye Hu, Jilong Tang, and Zhipeng Wei
ObjectiveAdjustable-ring-mode fiber lasers hold significant application value in the field of lithium battery welding, with the fiber combiner serving as a pivotal component, playing a crucial role in improving the performance of these fiber lasers. Presently, there is a growing body of research dedicated to power improvement and beam quality optimization for signal combiners. This research predominantly revolves around modifying the number of input ports and varying the core diameter of the output fiber. Comparatively, there is a dearth of studies focusing on circular beam adjustable signal combiners. Compared with traditional high-power fiber lasers, the adjustable-ring-mode fiber laser can prevent spattering and improve welding stability. The performance of this combiner is such that the center and external ports can operate independently. Based on this working principle, a signal combiner featuring a large-core-diameter output fiber is fabricated. This combiner attains exceptional transmission efficiency, superior beam quality, and remarkable resistance to high and low temperatures, rendering it suitable for the new field of lithium battery welding.MethodsUtilizing beam incoherent synthesis technology, a simulation of the beam combiner is conducted using RSoft software. This simulation scrutinizes alterations in its mode field. The designed beam combiner satisfies the principles of adiabatic taper and brightness conservation. The relationship between the taper ratio and input fiber diameter is analyzed, delineating the range of taper ratio that ensures the autonomous operation of inner and outer ring fibers, even with different input fiber diameters. Considering the impact of cone size on cutting and welding processes, the cone size is determined, and the variations in the three mode fields under varying taper ratios are simulated and analyzed. Subsequently, the influence of the hydrofluoric acid solution concentration on the corrosion time and corrosion efficiency is studied, with pretreatment of the input fibers based on the research findings. Finally, the taper fiber bundle is fabricated using the sleeve method, and the fusion cone fiber bundle and output fiber are fused together using a welding machine, culminating in the successful construction of the combiner.Results and DiscussionsThe designed fiber combiner exhibits good transmission characteristics, with each port achieving a transmission efficiency of ≥98% (Table 1). As the power levels increase, the temperature at each port increases by 25?35 ℃ (Fig. 7). Each port of the fiber combiner can independently handle power levels exceeding 3 kW, and when operating in tandem, the inner and outer rings collectively handle power levels surpassing 6 kW. This underscores its capacity to perform reliably at higher power levels, ensuring exceptional stability. Furthermore, the beam quality factor (M2) of the central port is 1.76, with the central curve showcasing a good Gaussian distribution. Any defects observed may be attributed to quartz block head (QBH) compatibility. The external port M2 demonstrates remarkable consistency, as elucidated in Table 2, and the maximum M2 value recorded stands at a mere 88.2, underscoring the outstanding beam quality of both the central and outer rings. Compared with previous results, we consider that this combiner represents the best beam-quality performance. Further enhancements can be achieved through adjustments in the taper ratio or a change in the input fiber type. High- and low-temperature resistance tests show that the transmission efficiency of the combiner decreases slightly under both high- and low-temperature conditions; however, it remains above 97% (Fig. 10). This change is more pronounced at low temperatures than at high temperatures, likely attributable to thermal expansion and contraction-induced bending within the adhesive. Notably, an excessive cutting angle leads to greater welding loss.ConclusionsIn this study, we investigate the key components of a ring spot adjustable fiber laser beam combiner. Through theoretical research and simulation experiments, we find that the input fiber cladding diameter measures 100 μm and the TFB diameter is 330 μm. Subsequently, we fabricate a large-core-diameter toroidal beam spot tunable signal bundler with high beam quality, and we accomplish this with a taper ratio of 0.835. In the context of a large-core-diameter circular dual-core fiber, we manufacture a high-beam-quality large-core-diameter circular spot adjustable signal combiner. The overall transmission efficiency of the combiner exceeds 98%, and it exhibits good transmission characteristics. In the beam quality test, the center M2 is 1.76, while the outer ring M2 ranges between 82 and 89. By adjusting the input port, we can direct either circular Gaussian beams or circular flat top beams independently onto the surface of the working material, or simultaneously apply both to the material surface. Finally, we conduct an environmental reliability test on the combiner, subjecting it to three distinct temperature conditions. The results show that the efficiency of each port experiences a slight decline at both high and low temperatures in comparison to that at room temperature. Notably, the transmission efficiency is the lowest at lower temperatures, attributable to cone bending; however, the transmission efficiency still remains above 97%. The all-fiber ring-spot adjustable signal combiner exhibits the advantages of exceptional transmission characteristics, minimal thermal effects, and superior beam quality. Consequently, it holds substantial promise in the growing laser composite welding market. With the further development of laser composite welding, beam-mode adjustable signal combiners featuring varying output core diameters will undergo more extensive research. Additionally, potential modifications to the TFB structure may enable the center of the annular dual-core fiber to withstand higher power output, expanding its applications further.
  • Apr. 25, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 8, 0801004 (2024)
  • DOI:10.3788/CJL231147
High-Beam-Quality High-Power Vertical-Cavity Surface-Emitting Laser
Guanxin Yan, and Yongqin Hao
ObjectiveCompared with edge-emitting lasers, vertical-cavity surface-emitting lasers (VCSELs) have superior performance, such as a lower threshold current, single longitudinal-mode output, easy 2D array integration, low power consumption, and low fabrication cost. With the development of large apertures as well as 2D arrays of VCSELs, the output power of VCSELs has been significantly improved, and they are widely used in such fields as optical communication, optical interconnection, and optical information processing. In addition, the applications of VCSEL devices in the consumer field are becoming increasingly extensive, such as LiDAR, distance sensing, autofocusing, 3D sensing, rainbow-mode recognition, air and water quality detection, and virtual reality (VR)/augmented reality (AR)/mixed reality (MR). In recent years, the performances of VCSELs in terms of output power, conversion efficiency, modulation bandwidth, and reliability have improved continuously. However, high-power VCSEL single-tube or array devices are mostly multi-transverse-mode outputs, resulting in poor output beam quality. Therefore, improving device power while obtaining better beam quality in the optical field is a technical challenge that current researchers must solve. In this study, a novel multi-ring cavity structure is used to integrate VCSEL arrays to obtain a better far-field distribution while maintaining a high power output, further expanding the application range of VCSELs in the field of smart devices.MethodsIn this study, by analyzing the reasons for the non-uniform carrier distribution of large-aperture VCSELs, a multi-annular cavity structure [Fig. 2(b)] is designed to separate the injected current region into multiple regions to suppress the carrier aggregation effect. The finite-different time-domain (FDTD) method is used for the simulation to optimize the optical field distribution by adjusting the size of the annular cavities and the percentage of the light-out region. On this basis, traditional and new-structure VCSELs with identical external diameters of light exit holes are prepared on the same epitaxial wafer, and their light field uniformity and output characteristics are compared and analyzed.Results and DiscussionsNear-field photographs of conventional and novel-structure VCSELs tested under the same injection conditions are taken (Fig. 5). The test results show that the light field distribution uniformity of the conventional VCSEL structure [Fig. 5(d)] is extremely poor, and only the annular region near the electrode ring emits light. In contrast, the three ring-cavity structures (A, B, and C) are fully illuminated in all light-emitting regions and have a more uniform light field distribution, which significantly improves the extremely poor light field distribution of the conventional structure owing to the carrier aggregation and space-burning hole effects. By comparison, it can be seen that the structure C not only has better light field uniformity and high utilization of the light-emitting region but, more importantly, has the strongest light field, which is consistent with the theoretical simulation results. In addition, as can be seen from the far-field distribution and spectrogram of the VCSEL with structure C (Fig. 6), the optical field center of the far field has a strong intensity, showing a Gaussian distribution, and the excitation spectrum verifies its excellent single-mode characteristics, with a peak wavelength of 805.03 nm and a spectral full width at half-maximum of 0.82 nm. The device exhibits a very good excitation characteristic. In addition, the conventional VCSEL structure (Fig. 8) has a maximum continuous output power of 90 mW at 0.7 A and a threshold current of 80 mA. The output power and slope efficiency of the new structure are improved compared with those of the traditional-structure device, and the threshold current is reduced. The threshold current of new structure C is 49 mA, and the maximum continuous output power is 140 mW, which is nearly 56% higher than that of the conventional structure.ConclusionsIn this study, by analyzing the reasons for the uneven carrier distribution of large-aperture VCSELs, a multi-annular-cavity-structured VCSEL is designed, and the optical field of the new structure is simulated. The results show that the optical field distribution can be optimized by optimizing the dimensions of the annular cavities and the percentage of the light-output region. Based on this, traditional and new-structured VCSELs with identical external diameters of light exit holes are prepared on the same epitaxial wafer, and the light field uniformity and output characteristics of the new structure are compared and analyzed. The results show that the new structure improves the uneven distribution of the light field caused by the carrier aggregation effect of the traditional structure. The new multi-ring cavity structured VCSEL with a 67% duty cycle has the best near-field distribution, and the threshold current is reduced. On applying an injection current of 0.8 A, the continuous output power at room temperature reaches 140 mW, which is 56% higher than that obtained with the traditional structure, and the far-field shows a Gaussian distribution. In addition, the beam quality is better, which meets the demand for high-power and high-beam-quality semiconductor laser sources for VCSELs in the field of optical communication and further expands the application range of VCSELs in the field of intelligent devices.
  • Apr. 25, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 8, 0801001 (2024)
  • DOI:10.3788/CJL230977
High-Precision Extreme Ultraviolet Reflectometry Based on Normalization
Liangle Zhang, Xiaoquan Han, Wanlu Xie, Xiaobin Wu, Xuchen Fang, Zixiang Gao, Pengfei Sha, and Kuibo Wang
ObjectiveThe precision of reflectivity measurements of the extreme ultraviolet (EUV) lithography machine must be greater than 0.06%; therefore, the high-precision measurement of the reflectivity of EUV optical components is particularly important. The influence of various factors in the measurement device, such as the energy stability of the light source, performance of the energy detector, and signal-to-noise ratio (SNR) of the data acquisition module must be minimized to achieve high-precision EUV reflectance measurement. Most high-precision measurements of the reflectivity of the EUV-band optical components conducted worldwide are based on synchronous radiation light sources. However, the cost of synchronous radiation light sources is high and the quantity is small with limited machine time, which cannot meet the production measurement and laboratory application requirements for EUV optical components. Therefore, the development of a reflectometer with a compact size and convenient measurements is necessary. Compared with synchronous radiation light sources, small light sources have poor single-pulse energy stability, and significant fluctuations and attenuation of energy during long-term operation greatly affect the repeatability of reflectivity measurements. Therefore, to eliminate the impact of the energy fluctuations inherent in the light source, normalization is essential during high-precision reflectivity measurements. In this study, a reflectivity testing device based on a discharge plasma (DPP) light source is developed. We analyze the effects of the EUV light source parameters, detector types, and other factors on the reflectometer and propose an effective energy-normalization method. The testing of the reflectivity of the multi-layer mirrors indicate that the impact of light source fluctuations reduces significantly, providing a reference for other EUV-related energy tests.MethodsTo improve the repeatability of reflectivity testing, the energy of the light source must be normalized. We adopted a simple method of energy normalization, which introduced an identical aperture B beside the aperture A of the test light. A detector was installed behind it to extract the light near the test beam as a reference beam to monitor the energy of the incident beam. The set-up is shown in Fig. 5, where both the apertures possess a diameter of 2 mm and horizontal distance of 7 mm. A beam of light entered the sample through aperture A for reflectance energy testing, whereas the other beam passed through aperture B as the reference light. The reference beam used for monitoring the EUV beams and the experimental beam used for reflectivity testing passed through the same optical path and optical components before splitting, followed by the experimental and reference detectors. During the reflectivity tests, we first used the experimental and reference detectors to detect the initial signal of the incident beam behind the apertures A and B and then moved it into the sample to be tested. The reflected beam signals of the reference and experimental detectors were tested at a certain angle, and the ratio of the front and back signals of the reference detector was used as the normalization factor of the light source energy to correct the actual reflectivity signal detected by the experimental detector.Results and DiscussionsThe SNR of the incident beam energy to the background noise is approximately 43 dB (Table 1). After the normalization design, the energy fluctuations of the incident beams are tested and studied. The energy changes in the reference and experimental detector test beams is shown in Fig. 6. The energy of the incident beam measured by the reference and experimental detectors fluctuates over time. After normalizing the reference beam, the energy remains stable over time, and the ratio of the energy of the experimental beam to that of the reference beam remains at approximately 0.82. Further statistical results are presented in Table 2. The energy of the incident beam generates fluctuation errors of approximately 2%, 4%, and 6% after 5, 10, and 15 min, respectively. Using the reference detector signal to normalize the experimental detector signal, the energy fluctuation of the incident beam is approximately 0.6% after 5, 10, and 15 min, and the energy fluctuation of the incident beam reduces significantly. After normalizing the experimental detector, the relative deviations of the five measurements significantly decrease (Fig. 7). A comparison of the results of the standard deviation of the multi-layered reflector before and after normalization within the range of the incidence angle of 22° shows that the standard deviation of the peak reflectance measurement results of the normalized sample is 0.69%, and the measurement repeatability of the peak reflectance of the sample improves by 84.1% compared to that before normalization. The accuracy of the experimental device is equivalent to that of foreign counterparts (Table 3).ConclusionsThe influences of the DPP source parameters and different types of detectors are analyzed based on a self-developed compact extreme ultraviolet reflectometer established with a gas discharge plasma source. An energy-normalization method is proposed and applied to the reflectivity measurements of a Mo/Si multilayer mirror at a wavelength of 13.5 nm. The results show that the energy normalization design significantly improves the repeatability of reflectance measurements. The peak reflectance measurement repeatability of multi-layer mirrors exceeds 0.69%, reducing the impact of light source energy fluctuations on the sample reflectance measurement. This result is comparable to those of compact EUV reflectometers reported abroad. Owing to the convenient and ultrahigh-precision characteristics of the EUV reflectometer, it can serve as an important measurement tool for the design optimization of EUV multilayer films and the development of EUV optical components.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701015 (2024)
  • DOI:10.3788/CJL231165
Thickness Control and Thermal Stability of Large‐Diameter Mo/Si Multilayer Films for Extreme Ultraviolet Source
Xiangyue Liu, Zhe Zhang, Li Jiang, Hongxuan Song, Dianxiang Yao, Siyi Huang, Wenjie Xu, Tonglin Huo, Hongjun Zhou, Runze Qi, Qiushi Huang, Zhong Zhang, and Zhanshan Wang
ObjectiveMo/Si multilayer films exhibit the highest measured reflectivity in the extreme ultraviolet (EUV) region, and their combination with an EUV light source enables EUV lithography. In practical applications of EUV light sources, Mo/Si multilayer mirrors are always curved and have large diameters. The angle of the incident light constantly changes along the curved surface. To match the multilayer peak reflectivity with the angle of the incident light, the period thickness of the Mo/Si multilayer films must be distributed in a transverse gradient along the surface to ensure high EUV reflectivity. Simultaneously, given that the mirror is close to the light source, the multilayer films must operate in an environment with a high thermal load. Higher temperatures can increase the formation of silicide at the multilayer interface, causing the optical performance to decrease. Therefore, Mo/Si multilayer films for EUV light sources also have high thermal stability requirements. To address these issues, we use a shadow mask to correct the periodic thicknesses of the multilayer films at different positions on a curved substrate with a diameter of 300 mm. Carbon is selected as the diffusion barrier material to investigate the influence of C-barrier layer on the thermal stability of Mo/Si multilayer.MethodsIn this study, two sets of Mo/Si multilayer films are deposited via direct current(DC) magnetron sputtering onto super-polished silicon wafers, and the thickness control and thermal stability of the Mo/Si multilayer films are investigated separately. For the study of thickness control, the target period thickness is from 6.96 nm to 7.31 nm, and the ratio of Mo layer thickness to period thickness is approximately 0.40. As the multilayer thickness on a large curved substrate cannot be measured directly, we prepare a substituted substrate to estimate the multilayer thickness at selected points on the surface. The shadow mask technique is used to adjust the periodic thickness of the multilayer films at different positions on the entire mirror. C is selected as the barrier material for the thermal stability study. Mo/Si, Mo/Si/C, and Mo/C/Si/C multilayer films are annealed at 300 ℃ for 2 h. By observing the X-ray reflectivity (XRR) and EUV reflectivity before and after annealing, the effect of the C barrier layer on the thermal stability of Mo/Si multilayer films is investigated.Results and DiscussionsIn the study involving film thickness control, the XRR measurement results show that the samples at different positions exhibit similar layer structures (Fig.4). Atom force microscope (AFM) tests are performed on Mo/Si multilayer films deposited at four different positions on the entire mirror. The surface roughness values of the four samples are 0.128, 0.123, 0.124, and 0.118 nm. The morphologies of the four samples are similar (Fig.5). Using the shadow mask, the deviation of the period thickness on the 300-mm diameter curved substrate is controlled within ±0.45% of the expected period thickness (Fig.6).In the study involving thermal stability, after annealing at 300 ℃ for 2h, the period thickness of Mo/Si multilayer films changes from 6.99 nm to 6.69 nm, the period thickness of Mo/Si/C multilayer films changes from 6.96 nm to 6.91 nm, and the period thickness of Mo/C/Si/C multilayer films is almost same before and after the annealing, which changes from 6.97 nm to 7.00 nm. The C barrier layer can effectively mitigate the interdiffusion at the interface of the Mo and Si layers, which improves the thermal stability of the multilayer films (Fig.7). The EUV reflectivity of Mo/Si multilayer films decreases from 64.4% to 55.4% after annealing at 300 ℃ for 2 h, and the central wavelength has a shift of 0.51 nm. The EUV reflectivity of the Mo/Si/C multilayer films decreases from 66.4% to 59.6% after annealing, and the center wavelength shifts by 0.11 nm. The reflectivity of Mo/C/Si/C multilayer films decreases by 1.8% after annealing, and central wavelength shifts by 0.02 nm (Fig.8 and Table 2). Furthermore, the EUV reflectivity results show that the C barrier inserted at both interfaces of the Mo/Si multilayer films can significantly improve thermal stability.ConclusionsInitially, graded Mo/Si multilayer films are deposited on a large-diameter curved substrate using a shadow mask. Compared with the designed period thickness, the deviation in the period thickness at different positions on the entire substrate is controlled within ±0.45%. The layer structure and surface roughness of the Mo/Si multilayer films are almost identical at different positions. This study provides useful guidance for the fabrication of large curved multilayer mirrors for EUV light sources. Next, the thermal stability of the Mo/Si multilayer films is investigated after inserting a C-barrier layer. The results show that the thermal stability of the Mo/C/Si/C multilayer films is optimal, and that of the Mo/Si multilayer films is the worst. The Mo/C/Si/C multilayer films exhibit only 1.8% reflectivity loss after annealing at 300 ℃ for 2 h, and the center wavelength and bandwidth do not change. The higher reflectivity and multistability of Mo/Si multilayer films for EUV sources are currently under investigation.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701014 (2024)
  • DOI:10.3788/CJL231540
Stochastics in EUV Lithography and Recent Research Status
Xiang Wang, Jianjun He, Jialiang Wei, and Huie Zhu
SignificanceExtreme ultraviolet (EUV) lithography is the most advanced photolithography technology used in semiconductor device fabrication to fabricate integrated circuits (ICs), providing a guarantee for a 3 nm node that is currently in mass production. Random defect in EUV lithography is a key problem that limits yield improvement. The extremely reduced feature size places much higher requirements on the regularity of the chemical structure of the photoresist components. However, chemical stochastics, such as unavoidable chemical inhomogeneity in the photoresist components and uneven photoacid diffusion distance during the post-exposure baking process, always cause printing defects, which present severe challenges for the development of next-generation photoresist materials. Meanwhile, the EUV light source has a shorter wavelength (13.5 nm), resulting in a very low photon density, which is only 1/14 of that of the light source (193 nm) used in ArF lithography. Therefore, the photon-shot noise increases by several times, leading to the inevitable problem of photon stochastics. Owing to these problems, higher requirements have been proposed for the molecular size and system uniformity of the EUV photoresist material system compared to the previous generation of photolithography materials. This article presents a systematic review of the research development on the above-mentioned stochastic issues in EUV photoresists in recent years by focusing on the current research status in three aspects: chemical stochastics, photon stochastics, and computational simulation methods used to clarify the stochastic issues in EUV lithography.ProgressAs the minimum feature sizes continue to shrink in EUV lithography, stochastic defects have become the “black swans” of yield loss as stochastic phenomena scales as one over the feature size cubed. Ultimately, stochastic effects originate from the fact that both light (EUV photons) and matter (resist materials) are discrete particles with finite numbers that interact with each other in discrete volumes. Thus, the two main sources of EUV stochastics are chemical and photon stochastics, which can be observed throughout the lithography process (Fig.1). “Traditional” types of defects often come from resist residue or in-film particles and will cause repeating printing failures in a large area. By contrast, stochastic defects nearly always affect only a single feature (Fig.2).Photon stochastics-induced shot noise has been observed for several decades. However, it is much more severe in EUV lithography than in previous lithography methods because the energy of the EUV photons is significantly higher, changing the exposure mechanism from photochemistry to radiation chemistry (Fig.3). Despite increasing the light source efficiency, the main method for reducing photon stochastics is to enhance the EUV absorption of the resist. In chemically amplified resists, this is achieved by introducing elements with high EUV absorption cross-sections in the polymer backbones or side chains in PAGs or as sensitizers (Fig.4 and Table 1). Owing to the high EUV absorption of metal atoms and higher densities compared to polymer-based resists, metal oxide resists display intense EUV absorption and have received significant attention in recent years.A large portion of chemical stochastics originates from the random behavior of resist materials. Chemically amplified resists, the mainstream EUV resists used today, are based on a multicomponent system that includes a polymer, a photoacid generator, and a quencher. Ober et al. and Park et al. pointed out that polymers with small weight-average molecular weight and low polydispersity can effectively reduce chemical stochastics (Fig.5). The control of the concentration of PAG (Fig.6) and quencher (Fig.8) and their uniformity in the resist film (Fig.7), as well as the development method (Figs.9?10) and post-exposure baking temperature (Fig.11), are also critical for reducing the LER and defectivity caused by chemical stochastics. Although the composition of the metal oxide resist is simpler than that of the chemically amplified resist, special attention should be paid to its size and uniformity (Fig.12).Simulations are important in research on stochastic effects because of their advantages in studying mechanisms at the nanometer scale. Physical model-based simulations of stochastic effects are intuitive in nature and include dissolution, analytic, and critical ionization models. These models are the most widely used in both academia and industry. Another emerging method is the Monte Carlo simulation of stochastic effects. The random numbers generated by Monte Carlo sampling demonstrate the ability to simulate reactions with a certain probability. Figures 13 and 14 illustrate the procedure for using this simulation method. Finally, a framework combined density functional theory, molecular dynamics, and finite difference method simulation lays the foundation for a first-principles study of stochastic effects in photoresists. Figure 15 shows how this workflow can simulate and calculate the morphology of the exposed photoresist, which is accurate because it is not limited by empirical parameters.Conclusions and ProspectsThis article presents the recent research on stochastic issues in EUV lithography, focusing on chemical stochastics, photon stochastics, and stochastic simulations/models. Photon stochastics is a well-known issue, and it scales with the square root of dose. This can be reduced by incorporating elements with high EUV absorbance into photoresist materials. However, chemical stochastics has been overlooked for a long time and has only been under intense investigation in recent years. Owing to the complex exposure and development mechanisms in EUV lithography and the limitations of the detection methods, such stochastic problems are difficult to identify, classify, and clarify. Fortunately, researchers can rely on various simulation and modeling methods to advance the fundamental understanding of stochastic phenomena in EUV lithography. Stochastic issues have been and will continue to be a key problem limiting the capability of EUV lithography, and hopefully, with a deeper understanding, we can find better ways to reduce them.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701006 (2024)
  • DOI:10.3788/CJL231499
Extreme Ultraviolet Detectors: A Review
Wei Zheng, Naiji Zhang, Siqi Zhu, Lixin Zhang, and Wei Cai
SignificanceExtreme ultraviolet (EUV) detectors play an irreplaceable role in the fields of electronics manufacturing, space exploration, and basic science research. In electronics manufacturing, EUV lithography offers new possibilities for realizing circuit patterns in smaller sizes. The application of EUV detectors in EUV lithography is crucial because reliable detectors in EUV lithography systems can realize accurate monitoring of light source power and exposure dose to ensure the accuracy and consistency of chip production. In space exploration, the EUV radiation released by solar activity changes the density and ionization of Earth’s thermosphere and ionosphere, which will accordingly affect the performance of ground-based communication systems and spacecraft in low Earth orbit. EUV detectors can be effectively used to study solar activity, which can facilitate investigations on how solar changes affect Earth and technological systems in space missions, thereby improving the prediction capabilities. In basic science, as EUV light has a short wavelength and high energy, relevant studies of its characteristics and interaction mechanisms can deepen the understanding of the photon behavior and electronic structure of atoms and molecules. In this field, EUV detectors are a key component to calibrate the wavelength and intensity of light sources, providing a means to deeply explore the microstructure and basic laws of the material world (Fig.1). Starting with the important application scenarios of EUV detectors in various fields, this review aims to provide a systematic introduction to the advantages and research progress of EUV detectors.ProgressAs science and technology progress, various application scenarios have put forward different performance requirements for EUV detectors. This paper reviews the research progress of EUV detectors based on different detection media and working mechanisms, including the gas monitor detector (GMD), scintillator, micro-channel plate, and semiconductor-based photodetector.GMDs can obtain information by detecting the ionization process after the absorption of EUV radiation. The stable real-time monitoring of the photon fluxes of high-power EUV light sources, such as synchrotron radiation and free-electron lasers (FEL), will affect subsequent tests, making the calibration of these light sources essential. Semiconductor diodes are typically used to measure the absolute photon fluxes; however, they may get damaged under high-power EUV radiation, increasing the uncertainty in EUV detection. In contrast, as GMDs can overcome the performance degradation induced by radiation and realize real-time monitoring of photon fluxes, it has been employed in several free electron laser devices (such as FLASH 2, SwissFEL, European XFEL, and LCLS II). Compared with the calibration of semiconductor diodes, GMDs have the advantages of low deviation, high stability, and long service life. Further, it is more effective in detecting high-power EUV radiation (Fig.2).Scintillators have been developed based on the down-conversion effect, which converts invisible EUV light into visible light to be collected by a back-end photodiode or photomultiplier tube. The scintillator is generally a fast and efficient photoluminescent material with sufficient size and is an ideal element for high-speed EUV detection and imaging. Scintillators exhibit high-yield luminescence, a fast response to EUV light, and a sufficiently high absorption coefficient. Ce∶YAG, ZnO, and sodium salicylate are scintillators that have attracted significant attention in the field of EUV detection, among which the sodium salicylate scintillators have been commercialized (Fig.3).The micro-channel plate is a type of large-area electron multiplier detector that converts EUV photons into electrons through the external photoelectric effect that has the advantages of high spatial resolution and low noise. Micro-channel plate EUV detection technology has made great progress in the past few decades, including improvements in detection efficiency, response speed, and image reading technology. It has been widely used in the detection of the EUV band in aerospace missions, thus providing strong support for space science research. Micro-channel plates have been commercialized, mainly by the Hamamatsu Company (Fig.4).The semiconductor-based photodetector is a type of low-power miniaturized detector that utilizes the internal photoelectric effect. Its types include those based on silicon and wide-bandgap semiconductors, with the advantages of small size, light weight, and easy integration. Silicon-based photodetectors have been applied in a wide spectrum range, from X-rays to visible light, and the test data are considered the absolute calibration standard for EUV detection technology (Fig.5). However, they are prone to face the problems of accelerated aging or even getting damaged under harsh conditions such as high temperatures and radiation. Considering the reliability and operating conditions of EUV detection, wide-bandgap semiconductor materials are preferred in such situations. Owing to its material characteristics, the EUV photodetector based on wide-bandgap semiconductors typically has a higher radiation damage threshold, stronger chemical and physical stability, and a lower intrinsic carrier concentration, which can ensure stable performance under high irradiation intensity. At present, some wide-bandgap semiconductor materials, such as SiC (Fig.6), AlGaN (Fig.7), and diamond (Fig.8), have been used to manufacture EUV photodetectors. Detectors based on those materials have exhibited a longer service life under the same irradiation conditions and greater advantages in relation to EUV lithography light source power and dose monitoring when compared with silicon-based photodetectors. Therefore, wide-bandgap semiconductors have important research significance with the ability to provide new avenues for the development of EUV detection technology.Conclusions and ProspectsThis paper introduces the development and research status of EUV detection of the GMD, scintillator, micro-channel plate, and semiconductor-based photodetector (with the advantages and disadvantages listed in Fig.9), particularly focusing on the EUV photodetector based on wide-bandgap semiconductors. All these types of detectors have been constantly optimized to meet the needs of different application scenarios. A deeper understanding is expected to be achieved in the future by dealing with the unsolved scientific problems in current EUV detection technology, such as irradiation-resistant EUV power monitoring, high-resolution EUV imaging, and high-rejection-ratio detection for weak EUV light. This in-depth research will provide more advanced technical means or methods for electronics manufacturing, space exploration, and basic science to promote the development of related fields.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701008 (2024)
  • DOI:10.3788/CJL231569
Transverse Mode Characteristics Analysis of Semiconductor Laser with High‐Order Surface Curved Gratings
Hongjin Liang, Yonggang Zou, Jie Fan, Xiyao Fu, Ke Shi, and Kun Tian
ObjectiveSemiconductor lasers have been widely used in industrial manufacturing, medical diagnosis, lidar, and other fields because of their small size, high electro-optical conversion efficiency, long life, and direct-current drive. With the development of technology, higher requirements have been placed on laser light sources for different applications, such as high output power, narrow spectral linewidth, stable wavelength, and near-fundamental mode output. Researchers have performed a great deal of work in this area, making a series of breakthroughs ranging from broad-area semiconductor lasers to narrow-ridge semiconductor lasers and then to grating coupling. Distributed feedback semiconductor lasers using buried gratings can obtain high spectral purity; however, there are preparation difficulties in their re-growth. Researchers have found that surface gratings for coupling optical fields exhibit good working characteristics. To improve the power, a distributed Bragg reflector laser diode with tapered gratings combined with a master oscillator power amplifier is produced. Increasing the ridge width is a more direct method, which is commonly used; however, additional transverse mode suppression mechanisms need to be introduced, such as transverse coupled gratings and lateral microstructures. The exploration of single-mode stable-output semiconductor lasers has been a popular topic in related fields worldwide. In this study, a wide-ridge waveguide-distributed feedback semiconductor laser based on high-order curved surface gratings is prepared. Curved gratings and current-limited injection structures can suppress the high-order transverse mode in a wide-ridge waveguide and improve the power and spectral purity of the device. In addition, the use of ultraviolet lithography significantly reduces the difficulty of fabrication.MethodsThe transverse mode of the device is investigated using curved gratings and a current-limited injection structure, and the experimental results are analyzed. The effect of the ridge waveguide on the transverse mode is analyzed. It has been pointed out that a wide-ridge waveguide requires an additional transverse-mode suppression mechanism. Subsequently, two methods, curved grating and current-limited injection structure, are proposed. First, the gratings in the center of the curved gratings are regarded as linear gratings, which are used to narrow the linewidth. The gratings in the edge area combined with the cavity facet of the resonator form an unstable resonator, which leads to the beam propagation of the high-order transverse mode in the cavity and increases the feedback loss. The formula for calculating the curvature of the curved grating is given. Second, the current-limited injection structure is set such that the high-order transverse mode lasing threshold is greater than the basic mode threshold, whereas the gain is lower than that of the fundamental mode. Subsequently, the grating order is given, the period is determined by the Bragg condition, and the structural parameters of the gratings are optimized by software simulation to determine the duty cycle and etching depth suitable for device fabrication. Subsequently, the designed device structure is prepared experimentally. An electron microscope scan of the experiment is performed, and a device that meets the expected requirements is packaged and tested. Finally, the transverse mode of the curved grating device is analyzed using the spectrum, spot, and far-field divergence angle, which proves the validity of the structure and provides the optimization direction.Results and DiscussionsThe prepared curved grating device exhibits the expected single-mode output characteristics. Experiments show that the far-field slow axis divergence angle of the device is 5.3° at 0.5 A [Fig.10(a)], the optical spot presents a single lobe [Fig.9(a)], the 3 dB spectral linewidth is 0.173 nm, and the side-mode suppression ratio is 22.6 dB (Fig.8). The results show that the curved grating structure plays a key role in the suppression of the high-order transverse mode in the cavity, and the center is regarded as a high-order linear grating that narrows the linewidth. This provides a new concept for a single-mode stable output device.ConclusionsA distributed feedback semiconductor laser with high-order curved gratings is fabricated. The high-order transverse mode is suppressed using curved gratings and a current-limited injection structure. At room temperature, the measured threshold current of the device is 0.49 A, the optical spot presents a single lobe, the far-field slow axis divergence angle is 5.3°, the fast axis divergence angle is 29.2°, the measured emission wavelength is 1051.93 nm, the 3 dB spectral linewidth is 0.173 nm, and the side mode suppression ratio is about 22.6 dB at 1 A. The output power can reach 939.8 mW at 2.2 A, and the device can achieve the expected single-mode output effect. In addition, the device adopts the ultraviolet-lithography preparation process, which greatly reduces manufacturing difficulty and provides a simpler and more effective solution for semiconductor laser devices with a stable output of a single mode. However, the performance of the device must be improved further because of the high threshold current. In later stages, the sidewall morphology, structure, and curvature parameters of the curved gratings are fully optimized to obtain better performance.
  • Apr. 25, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 8, 0801006 (2024)
  • DOI:10.3788/CJL231481
Optimization of Oxidation for Vertical Cavity Surface Emitting Semiconductor Lasers
Zhongbiao Chen, Bifeng Cui, Xiangrui Zheng, Chunpeng Yang, Bozhao Yan, Qing Wang, and Xinyu Gao
ObjectiveVertical cavity surface-emitting lasers (VCSELs) have advantages such as a single longitudinal mode, a low threshold, and ease of two-dimensional integration. VCSELs have been widely used in data transmission, optical communication, and three-dimensional sensing. Oxidation is the most common process for oxide-confined VCSELs. AlGaAs materials with high Al contents are oxidized via wet oxidation to form oxide apertures of aluminum oxide, and the structures of oxide apertures with different shapes and sizes have different effects on the optoelectronic characteristics of VCSELs. However, during the actual oxidation of the AlGaAs oxide confinement layer, the shape and size of the oxide aperture do not satisfy expectations because of various factors, which adversely affect the performance of the device in terms of the excitation mode, threshold current, and divergence angle. In this study, the dry etching and wet oxidation processes of VCSELs are experimentally investigated, and an optimized process scheme for oxidation pretreatment that combines dry etching and (NH4)2S passivation is developed. An (NH4)2S solution is used to passivate the table structure after dry etching, which achieves a stable oxidation rate and improves the quality of the oxide aperture shapes, further improving the optoelectronic characteristics of VCSELs and extending the applications of VCSELs in optoelectronics.MethodsIn this study, an (NH4)2S solution is used. Prior to oxidation, a cleaned VCSEL is passivated in a (NH4)2S (sulfur mass fraction >8%) solution in a heated water bath. After oxidation, the surface and sidewall microstructures of the VCSEL are observed using scanning electron microscopy (SEM). The shapes and sizes of the oxidation apertures of the VCSELs are observed separately using a microscope, and the oxidation rates of the oxidation apertures are determined. Based on this, the photoelectric properties of the unpassivated and passivated VCSELs are comparatively analyzed.Results and DiscussionsAfter wet oxidation, the layered structure of the unpassivated VCSEL undergoes fracturing and separation, and the VCSEL structure undergoes distortion [Fig.3(a)]. However, the passivation-pretreated VCSEL exhibits less significant fracture and delamination and good sidewall integrity [Fig.3(b)]. The passivated VCSEL [Figs.4(a1) and (a2)] has smoother oxide hole edges and more regular oxide aperture shapes than the unpassivated VCSEL [Figs.4(b1) and (b2)]. With an increase in the oxidation depth, the oxidation aperture of the passivated VCSEL has a somewhat diamond shape [Fig.4(a3)], whereas that of the unpassivated VCSEL has an irregular pentagonal shape [Fig.4(b3)]. The oxidation rate of the unpassivated VCSEL always exceeds that of the passivated VCSEL (Fig.5). The test results (Fig.6) show that the saturated output power of the passivated VCSEL is stable at 6.16 mW, whereas that of the unpassivated VCSEL varies between 5.18 mW and 6.14 mW. Moreover, the slope efficiency of the unpassivated VCSEL fluctuates within 0.40?0.42 W/A, and the slope efficiency of the passivated VCSEL is improved by 5% and stabilizes at 0.44 W/A. In conclusion, the passivated VCSEL exhibits improved device performance consistency, whereas the unpassivated VCSEL exhibits unstable device performance. Variability in the performance of both devices exists. In addition, the threshold currents of both VCSELs are close to 0.80 mA, but the threshold currents of the passivated VCSEL decrease to 0.72 mA. As shown in Fig.7(a), the side-mode rejection ratio of the passivated VCSEL reaches up to 36 dB at a driving current of 1 mA, whereas that of the unpassivated VCSEL is 22 dB, with the appearance of two excitation modes. When the current reaches eight times the threshold, the passivated VCSEL excites two modes, and a third mode gradually starts to appear but still manages to maintain a few mode outputs [Fig.7(b)]; in comparison, the unpassivated VCSEL appears with four or more modes [Fig.7(c)].ConclusionsIn this study, the effect of a preoxidation pretreatment process scheme that combines dry etching and (NH4)2S passivation on the sidewall integrity and oxide aperture of a VCSEL is investigated. The (NH4)2S passivation technology can effectively remove nontarget products, such as oxides, on the sidewall of the stage and minimize device delamination and fracturing during oxidation, improving the sidewall integrity and sample quality. The oxidation rate of the high-alumina component AlGaAs layer on the sidewall is more uniform and stable, and the oxide aperture shape is regular. Based on this, the passivation process is applied to prepare oxide-confined VCSELs with a 5-μm-diameter oxide aperture. Comparison experiments show that the maximum slope efficiency and threshold current characteristics of the VCSEL prepared by this process improve, and the device performance consistency is enhanced. The side-mode rejection ratio of the passivated VCSEL can reach 36 dB at a driving current of 1 mA in a single-mode excitation state. This study shows that the proposed oxide-optimized process scheme based on dry etching and (NH4)2S passivation is beneficial for the preparation of oxide aperture structures with regular shapes and good follow-through, which improves the structural stability of the device and the device performance of oxide-confined VCSELs.
  • Apr. 25, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 8, 0801003 (2024)
  • DOI:10.3788/CJL231351
Laser‑Induced Discharge Plasma Extreme Ultraviolet Source
Junwu Wang, Hongwen Xuan, Xinbing Wang, and Vassily S. Zakharov
ObjectiveWith the continuous decrease in feature size in the semiconductor industry, extreme ultraviolet lithography (EUVL) is becoming increasingly crucial in ultrahigh integrated circuit manufacturing. The emission characteristics of tin (Sn) in terms of its high conversion efficiency (CE) and spectral purity (SP) make it the best choice for current EUVL systems. Laser-produced plasma (LPP) and laser-induced discharge plasma (LDP) are the most important technical methods for generating extreme ultraviolet rays. LDP has the low cost, simple structure, high operation rate, and high energy injection, and it is promising for mask inspection, microscopic imaging, and spectral metrology. Unlike the LPP source, many parameters, such as the electrode structure, discharge gap, laser wavelength, and current rise rate, make it difficult to design an LDP source; therefore, it is necessary to investigate the influence of these parameters on the performance of the LDP EUV source.MethodsAn experimental setup is designed to investigate the laser-induced discharge of tin plasma. A pulsed carbon dioxide laser is used to ablate a tin plate cathode and produce an expanding pre-ionized plasma as the discharge medium, decreasing the threshold for the breakdown voltage. A vacuum arc gradually formes between the cathode and the stainless-steel hemisphere anode, and the current bombards the electrodes and generates more plasma. The time-domain waveform of the current is recorded, and the extreme ultraviolet spectra of the LPP and LDP are analyzed. A radiative magneto-hydrodynamic program Z* is used to simulate the laser plasma and discharge plasma.Results and DiscussionsThe experimental LPP-EUV spectrum shows a peak at 13.7 nm when the laser energy is 145 mJ, whereas the peaks of the LDP spectra show a significant red shift compared to those of the LPP spectrum (Fig.3). When the voltage is 7 kV, the in-band (bandwidth of 2% at 13.5 nm wavelength) spectral intensity of the LDP does not significantly increase compared with that under the LPP condition. At a voltage of 15 kV, the in-band spectral intensity increases significantly. The simulation results show that the time-domain signals of the LDP-EUV have multiple peaks, and when the voltage reaches 15 kV, the second peak of the EUV radiation is higher than the first peak (Fig.6). When the current intensity is sufficiently high, the Joule heat generated by the current is sufficient to compensate for the energy transmitted via plasma diffusion and thermal radiation, causing the plasma temperature to increase and the EUV radiation area to extend. Subsequently, the plasma between the electrodes rapidly collapses as the current intensity oscillates and decays. The total and EUV radiation powers reach their maximum values near the current peak. When the discharge voltage increases from 7 kV to 15 kV, the total and EUV radiation powers both increase, and the highest EUV radiation power reaches 0.025 MW. The total radiation energy increases from 842.00 mJ to 3.85 J, and the total EUV radiation energy increases from 3.5 mJ to 65.0 mJ. The CE increases from 0.054% to 0.23%, and the SP increases from 0.42% to 1.69%. At a voltage of 7 kV, the maximum EUV radiation power density is 0.09 MW/cm3, and the EUV radiation is mainly concentrated near the anode (Fig.7). When the voltage is 15 kV, the maximum EUV radiation power density can reach 0.3 MW/cm3, and the EUV radiation is mainly concentrated on both sides of the electrodes (Fig.8). The average ionization degree of the plasma in the main area of EUV radiation is 10‒12.ConclusionsIn this study, the EUV radiation emitted by the LDP and LPP is conducted experimentally and theoretically. Compared to that of the LPP source, the temperature of the LDP source is significantly higher, and more Sn10+, Sn11+, and Sn12+ ions are present. Transitions between multiple excited states gradually replace those between single excited and ground states. However, the plasma size of the LDP source is very large, resulting in a low radiation power density. The light source for mask inspection requires strong brightness; therefore, further research on the Z-pinch mechanism is required to reduce the plasma size and improve brightness. The discharge voltage significantly influences the in-band EUV radiation of the LDP source. This phenomenon demonstrates the major advantage of the LDP light source: the extreme ultraviolet output power can be increased by increasing the injection of electrical energy. However, CE and SP still need to be improved by increasing the current rise rate. Shortening the current rise time and reducing the inductance of the discharge circuit can be good approaches.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701012 (2024)
  • DOI:10.3788/CJL231488
High Power and High Stability 13.5 nm Extreme Ultraviolet Light Source Driven by High‑Order Harmonics
Kui Li, Runyu Meng, Ruixuan Li, Guangyin Zhang, Mingjie Yao, Hao Xu, Yutong Wang, Jie Li, Xiaoshi Zhang, and Zhongwei Fan
ObjectiveShort wavelength, short-pulse, and high-coherence laser sources are urgently needed for research on ultra-wide dynamics at the microscopic scale. Additionally, with the demand for an ever- increasing chip computing speed, the semiconductor field urgently requires small and low-cost extreme ultraviolet light sources for material development and wafer defect detection. High-order harmonic (HHG) technology is used to realize laboratory desktop applications and produce high-coherence and high-resolution extreme ultraviolet (EUV) light sources, which is one of the most reliable technologies and is gradually becoming one of the most important tools for atomic, molecular, advanced quantum, and other materials research as well as nano-imaging. Based on the semiconductor field and experimental requirements, we build a set of HHG-EUV sources using a commercial Ti∶sapphire laser, which is a highly stable, high-power 13.5-nm source. This will advance research in material development, semiconductor performance characterization, biomedical imaging, wafer defect detection, and other fields of research.MethodsHigh-order harmonic processes can be explained by the semiclassical three-step model, and several experiments have demonstrated that Ti∶sapphire lasers are among the best driving sources for generating an EUV source. Focused high-average-power laser pulses interacting with rare gases produce harmonics that cover the extreme ultraviolet(UV)and soft X-ray regions, thereby making them the most efficient source for electrodynamics studies. The reaction region is commonly characterized using nozzles, gas cells, and hollow waveguides. The latter has the highest harmonic conversion efficiency owing to the phase modulation process; however, it is difficult to calibrate and achieve a stable output over long periods. To achieve a high flux and stable output from a 13.5-nm harmonic source, we design and build a beam stabilization control system to assist the alignment process and maintain beam stability. In addition, we build a dual-optical and flat-field spectrometer that can effectively optimize the harmonic aberration in the harmonics generated by the device.Results and DiscussionsWhen helium is used as the generation gas, the spectral distribution around 13.46 nm is filtered with Zr films. A calibrated photodiode is used to measure the optical power signal, and the total power generated by the light source is calculated as approximately 1.9 μW. The spot shape is captured using complementary metal-oxide semiconductor (CMOS) at a distance of 1.9 m from the light source [Fig.2(b)], and the 1/e2 spot size is calculated to be 1.92 mm×1.67 mm with the x-divergence full-angle of the spot calculated to be 1.01 mrad, and the y-divergence full-angle of the spot calculated to be 0.88 mrad. When argon is used as the generation gas, two 250-nm thick Al films are used for spectral filtering, and the spectral distribution is around 30 nm. The calculated average power is 120 μW at the light source. Furthermore, in order to measure the pointing and power stability of the EUV beams, a CMOS is placed at a distance of 1.9 m from the light source. The root-mean-square (RMS) of the pointing stability is then calculated using the offset of the spot center from the initial position over a 12-h period, with the pointing offset of the beam in the x-direction controlled to within 6.3 μrad and that in the y-direction controlled to within 7.2 μrad. The average RMS power stability over a 12-h period is 4.37%.Wavelength calibration is performed using an in-house-designed dual-optics flat-field spectrometer with Al and Si films, as shown in Fig.4(b), which demonstrates the filtered HHG spectral signals of the different films collected using a grating with groove density of 500 line/mm. With the help of the steep absorption edge of the Si film at approximately 12.5 nm (the absorption peak of the Ai film is at approximately 17.05 nm), the position of the 59th harmonic peak at 13.46 nm is obtained. Combined with the slit, our flat-field spectrometer can output any chosen harmonic that minimizes the aberration. As shown in Fig.6, we analyze the first-, second-, and third-order diffraction harmonic intensities of the grating acquired by CMOS, which are consistent with the results of the theoretical coupling calculations. We significantly improve the harmonic spectral resolution using a gold-plated planar grating with groove density of 1200 line/mm. We determine that the 13.46-nm harmonic contains an average power of about 0.32 μW, and that its true bandwidth is less than 0.124 nm.ConclusionsA high-power, high-stability HHG source with a spectrum ranging from 10 nm to 40 nm is developed based on an inflatable hollow optical waveguide using a titanium gemstone laser as the driving light source. Using helium or argon as the reaction gas, multiple harmonics covering 10 nm to 40 nm are obtained, and the total power can be as high as 120 μW. Combined with an in-house designed dual-optics flat-field spectrometer and a monochromator, a single harmonic with an average power of about 0.32 μW, a bandwidth of about 0.124 nm and a center wavelength of 13.46 nm is obtained. The engineering design is adopted for the system and a technical breakthrough for this type of light source in terms of the pointing stability and power stability index is therefore successfully realized, which are world-leading results. Our study lays a solid foundation for the application and promotion of this light source in the industry. This light source will be popularized and validated in the fields of EUV interference lithography experiments, photoresist research and development, material parameter measurements in EUV lithography technology, nanometer or quantum material research and development, and semiconductor wafer defect detection.
  • Apr. 10, 2024
  • Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701011 (2024)
  • DOI:10.3788/CJL231507